Deep reactive-ion etching (DRIE) is a highly anisotropic etch process used to create deep penetration, steep-sided holes, and trenches in wafers/substrates, typically with high aspect ratios. 

There are two main technologies for high-rate DRIE: cryogenic and Bosch, although the Bosch process is the only recognized production technique. Both Bosch and cryo processes can fabricate 90° (truly vertical) walls, but often the walls are slightly tapered, e.g. 88° („reentrant“) or 92° („retrograde“).